ICode9

精准搜索请尝试: 精确搜索
  • Excel通过JS宏自定义过滤、查找等操作2022-09-16 14:33:28

    Excel通过JS宏自定义过滤、查找等操作 打开宏开发工具 编写JS代码 function myTest() { addSheet() setValue() regFind() deleteSheet() } // 新增sheet function addSheet(name) { var st = Sheets.Add() st.Name = name || "测试" } // 删除sheet function deleteShee

  • 电压采集2022-09-15 16:00:28

        module Voltage_Meas ( input clk, input rst_n, output adc_cs, output adc_clk, input adc_dat, output [8:0] seg_1, output [8:0] seg_2 ); wire clk_24mhz; PLL pll ( .CLKI (clk ), //12MHz系统时钟输入 .CLKOP (clk_24mhz ) //24MHz

  • ADC081S101_dirver2022-09-15 13:33:27

          module ADC081S101_dirver ( input clk, //系统时钟 input rst_n, //系统复位,低有效 output reg adc_cs, //SPI总线CS output reg adc_clk, //SPI总线SCK input adc_dat, //SPI总线SDA output reg adc_done, //ADC采样完成标志 output reg [7:0] a

  • JavaScript-正则表达式基础知识2022-09-13 00:01:25

    1 正则表达式介绍 1.1 正则表达式的概念 正则表达式是对字符串操作的一种逻辑公式,就是用事先定义好的一些特定字符、及这些特定字符的组合,组成一个“规则字符串”,这个“规则字符串”用来表达对字符串的一种过滤逻辑。用我们自己的话来说: 正则表达式用来校验字符串是否满足一定的

  • UVM RAL : Integrating RAL to Agent2022-09-12 19:00:43

    Integrating RAL to Agent 在 RAL 实例化之后,RAL 必须与 Bus Agent 连接。本节介绍将 RAL 与总线的sequencer和monitor连接。 Integrating Bus Sequencers 所有集成方法都需要为寄存器模型配置一个或多个总线sequencers。 寄存器模型成为 执行的  uvm_reg_sequence 子类型的属性

  • UVM RAL Adapter2022-09-12 17:32:39

    UVM Register Model Adapter 使用 UVM 寄存器模型,我们进行设计寄存器访问, 例如通过调用 RAL 方法写入设计寄存器或从设计寄存器读取。最后,这些事务必须放在设计总线上,这将由 RAL 组件适配器(Adapter)完成。 RAL 适配器充当 RAL 模型和接口之间的转换器。它将 RAL 方法的事务转换为

  • UVM RAL:Constructing Register Model 构建寄存器模型2022-09-12 16:30:30

    本节介绍如何构建用于寄存器和内存访问的 UVM 寄存器模型。 寄存器字段使用 uvm_reg_field 类型声明。 uvm_reg_field reg_name; 寄存器字段在寄存器类中声明 字段名称在其声明范围内必须是唯一的 使用 uvm_reg_field::configure() 方法指定字段的访问策略 必须从实例化它的寄存

  • Django基础介绍三(反向解析/路由分发/django版本区别)2022-09-09 02:01:52

    无名有名分组反向解析 简单理解主要用于连接跳转之类的操作 需要在路由里面提前写好需要反向解析的规则 视图层里面使用reverse进行解析动作 eg: 路由层urls.py 编写对应的路径页面 视图层views.py 解析页面正常编写内容 被解析页面 以首页为例 首页 源码标签中 添加好按照反向解析

  • 内网安全之:Windows系统帐号隐藏2022-09-08 10:01:07

    Windows系统帐号隐藏 目录Windows系统帐号隐藏1 CMD下创建隐藏账户2 注册表创建隐藏账户3 利用工具隐藏账户 1 CMD下创建隐藏账户 CMD下创建隐藏账户 net user test$ 123456 /add 把隐藏账户加入管理员用户组 net localgroup administrators piao$ /add 这种方法只能将账

  • verilog基础2022-09-05 20:32:07

    位宽: 在芯片设计中,可以理解位连接的线路,位宽数表示线路数量; 芯片内部寄存器:芯片内部由1bit的寄存器组合构成不同位宽寄存器        verilog基础数据类型: wire 线网 :表示硬件单元之间的物理连线,由其连接的器件输出端连续驱动;   wire interrup;   wire flag1, flag2;   wir

  • HDLBits答案——Verification: Writing Testbenches2022-09-02 18:03:12

    1 clock module top_module ( ); reg clk; dut U1(.clk(clk)); initial begin clk = 0; end always begin #5; clk = ~clk; end endmodule 2 Tb/tb1 module top_module ( output reg A, output reg B

  • 学习python-Day522022-09-01 23:33:47

    今日学习内容 作业讲解 用户管理系统(单表) 配置文件 settings中关于template的文件路径修改和添加。 将新建的应用注册 接口前缀 模型类 在models文件中创建各个类对应数据库 页面搭建 数据添加的逻辑 数据编辑的逻辑 数据删除的逻辑 django请求生命周期流程图 1.浏览器

  • 【Django】第03回 生命周期、路由匹配、反向解析、名称空间2022-09-01 23:31:26

    目录1. 用户数据的增删改查2. Django请求生命周期流程图3. 路由匹配3.1 path斜杠3.2 path转换器3.3 正则匹配无名分组、有名分组4. 反向解析4.1 反向解析语法4.2 无名有名反向解析5. 路由分发6. 名称空间 1. 用户数据的增删改查 详情链接:https://www.cnblogs.com/cainiaozhy/p/166

  • 路由匹配与解析2022-09-01 20:30:37

    django请求生命周期 路由匹配 1.路由 path('网址后缀',函数名) 一旦网址的后缀匹配上时,就会自动执行后面的函数并结束整个路由的匹配 2.路由结尾的斜杠 2.1如果输入网址的时候不写斜杠,django会就会进行二次处理 '自动加上斜杠,再匹配一次' 2.2django配置文件中可以指定是否自动

  • uvm callback and reg backdoor access callback2022-08-31 01:02:01

    uvm callback and reg backdoor access callback using uvm_callback class Linux上写的,没有中文输入法,也不翻译了,留个档。 there are 4 main steps to using uvm_callback class to implement callback function. extend callback class from uvm_callback class, and defined u

  • 综合案例-黑马旅游网注册功能分析和表单校验2022-08-24 13:03:00

    综合案例-黑马旅游网注册功能分析 图解    综合案例-黑马旅游网注册功能_表单校验 表单校验功能 用户名:单词字符 长度8到20位 密码:单词字符 长度8到20位 email:邮件格式 姓名:非空 手机号:手机号格式 出生日期:非空 验证码:非空 HTML代码 <!DOCTYPE html> <html lang="en"> <head

  • js获取url上的参数2022-08-22 22:00:08

    //根据传递过来的参数name获取对应的值 //name是要获取url的参数名 function getParameter(name) { var reg = new RegExp("(^|&)" + name + "=([^&]*)(&|$)","i"); var r = location.search.substr(1).match(reg); if (r!=null) return (r[2

  • STC8H开发(十六): GPIO驱动XL2400无线模块2022-08-21 14:01:54

    目录 STC8H开发(一): 在Keil5中配置和使用FwLib_STC8封装库(图文详解) STC8H开发(二): 在Linux VSCode中配置和使用FwLib_STC8封装库(图文详解) STC8H开发(三): 基于FwLib_STC8的模数转换ADC介绍和演示用例说明 STC8H开发(四): FwLib_STC8 封装库的介绍和使用注意事项 STC8H开发(

  • window.location:2022-08-20 16:30:41

    1.window.location: window的location对象 2.window.location.href   整个URl字符串(在浏览器中就是完整的地址栏) 3.window.location.protocol   URL 的协议部分   返回值:http: 4.window.location.host   URL 的主机部分(带端口号) 5.window.location.port   URL 的端

  • 使用正则表达式替换手机号中间四位数为 * 号2022-08-17 18:01:09

    在有的接口或者界面上,为了保护手机号隐私,因此需要把手机号中间4位数变为 * 号,这种可以用正则表达式来实现替换 构建匹配手机号的正则表达式 要求 手机号是11位,且第一位是1 需要替换中间4位,所以分为三组 正则表达式如下,也可查看正则表达式图解 /(1\d{2})(\d{4})(\d{4})/gi js 实

  • RGB转YUV2022-08-17 10:33:02

      根据前面YCbCr转RGB章节,可以知道YUV与RGB互转的公式。这里不再赘述,直接上RGB转YUV的代码。   RGB2YUV.v 1 //************************************************************************** 2 // *** file name : RGB2YUV.v 3 // *** version : 1.0 4

  • django路由层2022-08-15 18:30:48

    1、django请求生命周期流程图 2、路由层 2.1路由匹配 """ url方法第一个参数是正则表达式,只要第一个参数正则表达式能够匹配到内容 那么就会立刻停止往下匹配.直接执行对应的视图函数 解决方式:在url后面加斜杠 注意:在输入url的时候会默认加斜杠,django内部做到重定向,一次匹配不

  • JavaScript基础回顾知识点记录4-正则表达式篇2022-08-12 11:30:33

    js 中 正则表达式使用 创建正则对象和test方法使用 /* 创建正则表达式的对象 语法: var 变量 = new RegExp("正则表达式","匹配模式") 或者 var 变量 = /正则表达式/ 匹配模式: i:忽略大小写 。 g:全局匹配模式 */ //var reg = new RegExp("a","i"); var reg

  • 正则2022-08-10 19:31:57

    正则 概念 一个用于规范字符串的表达式。 简单来说,就是写一个规则,然后通过这个规则可以从一个杂乱的字符串中找出符合规则的部分,也就可以用于判断 一个字符串是否符合某个规则。还可以将一个字符串中符合规则的部分进行替换。 正则表达式其实就是一种规则,其实把正则称作规则表达式

  • HJ71 字符串通配符2022-08-07 14:02:09

    题目描述 问题描述:在计算机中,通配符一种特殊语法,广泛应用于文件搜索、数据库、正则表达式等领域。现要求各位实现字符串通配符的算法。要求:实现如下2个通配符:*:匹配0个或以上的字符(注:能被*和?匹配的字符仅由英文字母和数字0到9组成,下同)?:匹配1个字符 注意:匹配时不区分大小写。  

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有