ICode9

精准搜索请尝试: 精确搜索
  • IEEE顶刊文章搜索与下载2020-12-18 16:57:06

    IEEE顶刊文章搜索与下载 顶会论文查找链接 https://blog.csdn.net/weixin_45680994/article/details/108532048 地址:https://ieeexplore.ieee.org/Xplore/home.jsp

  • 重磅!2020 ACM杰出科学家名单出炉:叶杰平、崔鹏等26位华人学者上榜2020-12-17 11:30:46

    2020-12-17 08:35:01 作者 | 陈大鑫、青暮 刚刚,ACM(国际计算机协会)公布了2020年度ACM杰出科学家(Distinguished Member)名单! 今年全球共有64名杰出计算机科学家获此荣誉,分别表彰他们对计算机领域的杰出教育贡献、工程贡献以及科学贡献,其中华人学者上榜26人,占总人数五分之二。 作为

  • 计算机网络之无线与移动网络-无线局域网 IEEE 802.112020-12-16 17:31:49

    1. IEEE 802家族 IEEE 802家族由一系列局域网(LAN)技术规范所组成。 IEEE 802.11发表于1997年, 是原始标准。 共同点: 1. 都使用相同的介质访问控制协议CSMA/CA(冲突避免)(Carrier Sense Multiple Access with Collision Avoidance); 2. 链路层帧使用相同的帧格式; 3. 都具有降低传

  • 设计、实现八位二进制数全加器以及八位无符号二进制数乘法器2020-12-15 21:34:28

    实验题目 设计、实现八位二进制数全加器 设计思路 总体设计为三输入,两输出。具体:实体声明部分描述电路模块的端口,即指定输入输出口及其大小。设计具有8位位宽的矢量或总线端口信号a,b以及标准一位输入的cin。然后在结构体描述部分对电路模块的功能进行描述,指明整个电路时如何

  • IEEE论文LaTeX模板中的一些问题2020-12-13 10:06:09

    1.IEEE 论文模板中斜体无法实现 解决办法:加入fontspec包 \usepackage{fontspec} 2.加了{fontspec}包后,矢量的\bar{}不能正常显示上划线,但是标量的\bar可以正常显示 解决办法:去掉{fontspec}包,不使用XeLaTex编译,换成PdfLaTex编译就能正常显示,步骤如下:选项---->构建----->默认

  • SLAM综述-Lidar SLAM2020-12-12 11:29:07

    目录 1 介绍 1.1 激光雷达传感器 1.2 2D激光雷达SLAM 1.3 3D 激光雷达SLAM 2 基于深度学习的激光SLAM 2.1 基于特征的深度学习的检测: 2.2 点云的分割与识别: 2.3 点云定位: 3 点云SLAM的挑战与未来 参考文献 1 介绍 SLAM包含了两个主要的任务:定位与构图,在移动机器人或者自动驾驶

  • 行为识别论文笔记|TSM|TSM: Temporal Shift Module for Efficient Video Understanding2020-12-07 13:00:21

    行为识别论文笔记|TSM|TSM: Temporal Shift Module for Efficient Video Understanding Lin, Ji , C. Gan , and S. Han . “TSM: Temporal Shift Module for Efficient Video Understanding.” 2019 IEEE/CVF International Conference on Computer Vision (ICCV) IEEE, 20

  • 潇洒郎: IEEE PDF eXpress论文审核全步骤2020-12-05 14:29:43

     IEEE PDF eXpress论文审核全步骤   网址 https://www.pdf-express.org/   会议ID: 49032X    地址:https://ccnc2021.ieee-ccnc.org/authors 点击Continue

  • 免费下载IEEE,SCI论文2020-11-30 16:00:55

    1、点开下面的sci论文下载可以用网址链接(实时更新),  http://tool.yovisun.com/scihub/ 2、以上链接随便点一个进去,会出现以下界面 3、在http://ieeexplore.ieee.org/Xplore/home.jsp中可找到需要的相关论文,假如我们找到的论文链接是https://ieeexplore.ieee.org/document/9102

  • 2020-11-232020-11-23 10:56:51

    澳大利亚麦考瑞大学计算机系王岩教授招收2021年入学博士研究生和联合培养博士生 导师简介: 王岩教授是澳大利亚麦考瑞大学(位于悉尼)计算机系终身教授 (Full Professor)。他很早就在国际上致力于信任管理 (trust management), 社会计算 (social computing)和服务计算 (service

  • 关于IEE754浮点数2020-10-15 21:00:53

    1.浮点数:        是属于有理数中某特定子集的数的数字表示,在计算机中用以近似表示任意某个实数。具体的说,这个实数由一个整数或定点数(即尾数)乘以某个基数(计算机中通常是2)的整数次幂得到,这种表示方法类似于基数为10的科学计数法        浮点数分为float和double,分别占4,8

  • 稀疏优化模型及其正则化方法2020-10-09 19:33:03

      https://mayhhu.github.io/ch/pdf/2018_SOM&RM_LWH.pdf                                               参考文献 References [1] F. Bach, R. Jenatton, J. Mairal, and G. Obozinski. Structured sparsity through convex optimiza- tion. Stat

  • IEEE-754小结2020-10-05 19:35:07

    IEEE754标准 1.表示形式 \((-1)^s\) * \(2^e\) *(\(b_0.b_1b_2b_3b_4...b_p\)) 其中e为指数,用移码表示.(\(b_0.b_1b_2b_3b_4...b_p\))为尾数,用原码表示. 规格化编码是\(b_0\)要省略不写 2.单精度浮点型(32位) 1位数符,8位阶码,23位尾数. 3.关于IEEE-754单精度浮点数的结论

  • wifi通信过程的研究--(3)传输过程概念细分2020-09-18 07:32:02

    三、 传输过程概念细分 (一)、加密算法解析 1、WEP 1) WEP: Wired Equivalent Privacy 2) 随802.11标准提出 3) RC4加密算法 4) 2种强度:40bits和104bits 5) Initialization vector (IV) = 24 bits 6) WEP2: 128bit 2、 WPA 1) WPA:草案的IEEE802.11i标准 2) 128bits

  • IEEE 802.11协议基础知识整理2020-09-17 23:31:21

    1. IEEE 802.11协议族成员 2. 频谱划分 WiFi总共有14个信道,如下图所示: IEEE 802.11b/g标准工作在2.4G频段,频率范围为2.400—2.4835GHz,共83.5M带宽 划分为14个子信道 每个子信道宽度为22MHz 相邻信道的中心频点间隔5MHz 相邻的多个信道存在频率重叠(如1信道与2、3、4、5信道有频

  • 脑机接口国内外公司及核心期刊2020-08-18 12:00:18

    脑机接口定义 脑机接口(Brain-Computer Interface, BCI):它是在人或动物脑(或者脑细胞的培养物)与外部设备间建立的直接连接通路,该通路用于两者间信息交换。 国内BCI科技公司 现在做研究和应用的国内公司有: 国外脑机接口公司 国外最受关注的脑机接口公司: 脑机接口研究方向的核心期刊 1

  • IEEE-754浮点计算精度问题2020-07-05 12:36:26

    前言 了解IEEE-754之前,先了解下什么是十进制和二进制,以及它们之间如何转化 十进制 十进制按照字面意思来理解,就是逢十进一,比如我们现在用的货币也好,计算也好,都是十进制,用0-9十个数字来表示 二进制 十进制十逢十进一,二进制就是二进一,用0和1来表示所有的数字 二进制转十进制 二进制

  • POSIX介绍2020-07-04 15:41:08

    POSIX介绍:POSIX表示可移植操作系统接口(Portable Operating System Interface ,缩写为 POSIX ),POSIX标准定义了操作系统应该为应用程序提供的接口标准,是IEEE为要在各种UNIX操作系统上运行的软件而定义的一系列API标准的总称,其正式称呼为IEEE 1003,而国际标准名称为ISO/IEC 9945

  • 常见局域网类别2020-06-22 21:57:13

    目前,流行的局域网包括:以太网、令牌环网和FDDI(光纤分布式数据接口)。 1.以太网和IEEE 802.3 IEEE 802.3是以以太网为基础的。二者占据了局域网市场的份额,而以太网通常指所采用载波监听多路访问/访问检测(CSMA/CD)的局域网,包括IEEE 802.3。 二者较为相似,都隶属于CSMA/CD LAN,也都隶属

  • 计算机网络原理知识点汇总 -- 无线与移动网络2020-06-17 10:09:14

    计算机网络原理知识点汇总 -- 无线与移动网络无线网络无线网络基本结构无线链路与无线网络特性移动网络移动网络基本原理寻址移动结点的路由选择间接路由选择直接路由选择无线局域网 IEEE 802.11802.11b/a/g/n的频段IEEE 802.11的MAC协议IEEE 802.11的帧蜂窝网络蜂窝网络体

  • 无线城域网-无线广域网2020-06-03 11:02:20

    无线城域网技术是因宽带无线接入(BWA)的需要而来的。1999年,ieee设立了ieee 802.16工作组,研究无线城域网技术标准。在ieee 802.16工作组的努力下,近些年陆续推出了ieee 802.16、ieee 802.16a、ieee 802.16b、ieee 802.16d等一系列标准。wimax论坛在2001年成立了,802.16协议在全球范

  • Ethernet Ⅱ 以太帧2020-05-30 17:54:33

    Ethernet Ⅱ 以太帧 帧格式 图1 Ethernet Ⅱ帧格式 字段长度含义 DMAC 6字节 目的MAC地址,IPV4为6字节,该字段确定帧的接收者。 SMAC 6字节 源MAC地址,IPV4为6字节,该字段标识发送帧的工作站。 Type 2字节 协议类型。下表列出了链路直接封装的协议。 Data 变长 数据

  • read IEEE Standard for verilog(1)2020-05-26 09:51:24

    IEEE Standard for Verilog Hardware Description Language 英语说明阅读,首先看导读、目录、摘要等内容。 摘要: 1 Abstract: The Verilog hardware description language (HDL) is defined in this standard. Verilog 2 HDL is a formal notation intended for use in all phases

  • AVB vs. RTP2020-05-14 10:52:37

    问:近些年,随着智能驾驶技术的发展和车内影音娱乐系统的丰富,越来越多的音视频数据需要在车内网络进行传输。现在车载以太网日渐成熟,那么,我们可以使用车载以太网在车内网络传输音视频数据吗?   答:答案是肯定的。而且由于成本、传输带宽等方面的因素,在有些场景下,也许只有车载以太网才

  • 无线组网技术 作业2020-04-19 22:04:52

    1 WiMAX 是采用哪种技术标准来构建宽带无线网络的? (    ) A、 IEEE 802.11 B、 IEEE 802.11s C、 IEEE 802.15 D、 IEEE 802.16 正确答案: D 我的答案:D得分: 12.5分 2 下列调制模式中,不属于 IEEE 802.16a 定义的调制模式是(    )。 A、 WirelessMAN.SCa B、 WirelessMAN.S

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有