ICode9

精准搜索请尝试: 精确搜索
  • Camera DVP接口2022-09-16 22:34:14

    PCLK:pixel clock ,像素时钟,每个时钟对应一个像素数据; HSYNC:horizonal synchronization,行同步信号 VSYNC:vertical synchronization,帧同步信号; DATA:像素数据,视频数据,具体位宽要看ISP是否支持; XCLK:或者MCLK,ISP芯片输出给驱动sensor的时钟;   DVP的时序图FV为帧同步信号,LV为行同步信

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有