ICode9

精准搜索请尝试: 精确搜索
  • (13)FPGA与DSP区别2022-03-21 17:03:03

    (13)FPGA与DSP区别 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA与DSP区别 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1)FPGA入门与提升文章目的是为了让想学FPGA的小伙伴快速入门以及能力提升; 2)FPGA基础知识; 3)Verilog HDL基本语法; 4)FPGA入门实例; 5)FPGA设计

  • (14)FPGA与GPU区别2022-03-21 17:02:42

    (14)FPGA与GPU区别 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA与GPU区别 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1)FPGA入门与提升文章目的是为了让想学FPGA的小伙伴快速入门以及能力提升; 2)FPGA基础知识; 3)Verilog HDL基本语法; 4)FPGA入门实例; 5)FPGA设计

  • 好用的设计软件,内部广为介绍2022-03-21 15:33:12

    我算是比较早投入到UI设计行业的,赶上了设计风口,尤其是在疫情之后,互联网的各类app继续崛起,设计行业开始涌入越来越多的人,只要稍微懂点设计的人基本上都可以从事相关的内容,下面就给大家分享几个好用的UI设计软件。 Pixso Pixso是我今年用的比较多的,也是推荐其他新同事常用的一个软

  • 园区网设计总体流程2022-03-21 15:02:58

    目录 需求调研: 需求分析: 方案设计: 指导思想: 设计原则: 设计指导: 核心层: 汇聚层: 接入层: 优点: 接入层设计: 需求调研: 1:客户网络环境:明确是改造还是新建 2:客户网络业务:需要部署的业务以及特效(访问量,主要业务,接口在哪…) 3:现网的痛点:对网络的期待 网络速度:是否拥塞 网络质量:是否中

  • 几年基础架构的经验之谈[42 things I learned from building a production database]2022-03-21 12:58:05

    目录 几年基础架构的经验之谈[42 things I learned from building a production database] 对客户(用户) 项目管理 设计 Code Review 策略 可观测性 研究   几年基础架构的经验之谈[42 things I learned from building a production database] 最近读到了分布式系统研究者 M

  • C++——算法设计方法2022-03-21 12:30:06

    前言 贪婪算法。 分而治之。 动态规划。 回溯法。 分支定界。 一、贪婪算法 (1)最优化问题。每个最优化问题都包含一组限制条件和一个优化函数。符合限制条件的问题求解方案称为可行解。使优化函数可能取得最佳值的可行解称为最优解。 (2)在贪婪算法中,我们需要逐步构造一个最优解

  • 秒杀系统设计2022-03-21 02:33:05

    秒杀系统设计 系统的特点 高性能:秒杀涉及大量的并发读和并发写 一致性:主要是商品超卖问题 高可用:秒杀时会在一瞬间涌入大量的流量,为了避免系统宕机,保证高可用,需要做好流量限制 优化思路 将请求尽量拦截在系统上游 后端优化 限流:屏蔽掉无用的流量,允许少部分流量走后端。 削峰:

  • (07)FPGA硬件描述语言2022-03-20 23:33:26

    (07)FPGA硬件描述语言 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA硬件描述语言 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1)FPGA入门与提升文章目的是为了让想学FPGA的小伙伴快速入门以及能力提升; 2)FPGA基础知识; 3)Verilog HDL基本语法; 4)FPGA入门实例; 5)FP

  • (08)FPGA开发软件2022-03-20 23:32:16

    (08)FPGA开发软件 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA开发软件 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1)FPGA入门与提升文章目的是为了让想学FPGA的小伙伴快速入门以及能力提升; 2)FPGA基础知识; 3)Verilog HDL基本语法; 4)FPGA入门实例; 5)FPGA设计输

  • 三味杂谈——软件工程启蒙2022-03-20 22:02:06

    软件工程是啥?软件工程的出现,是源于软件复杂度的极速提高。啥啥东西一旦多了,就不一样了,量变到质变了。最开始(20世纪,19xx年)软件体量没那么大,代码咋写都行。但是现在呢?一个开发大型软件的公司,软件就是其核心资产,例如微软的windows。这种大型软件,直接参与开发的人数都是千/万级别的,

  • 同步FIFO的设计2022-03-20 21:02:36

    数字IC设计学习笔记 同步FIFO的设计 1. 同步FIFO的设计 同步FIFO的设计 问题描述 设计同步FIFO,宽度8bit,深度512,ADDR位宽:2^9=512 Verilog代码 方法1: 基于RAM设计, 用长度(fifo深度)计数器fcnt,执行一次写操作,fcnt加1,执行一次读操作,fcnt减1. 写满:fcnt=fifo deapth; 空:fcnt=0&& !

  • mysql学习09( 为什么要规范数据库设计 )2022-03-19 20:35:50

    mysql学习09 为什么要规范数据库设计: 当数据库比较复杂的时候,我们就需要设计了;   糟糕的数据库设计: 数据冗余,浪费空间; 数据插入和删除都比较麻烦/异常【屏蔽使用物理外键】 程序的性能差;   良好的数据库设计: 节省内存空间; 保证数据的完整性; 方便我们开

  • 【软件工程与UML】第1章 笔记和练习题2022-03-11 13:34:51

    基本概念 软件 = 程序 + 数据 + 文档 软件的特点 抽象性:软件是一种逻辑实体 可复制性:软件是通过人们智力活动,把知识和技术转化为信息的一种产品。 不会磨损: 依赖性:软件的开发和运行经常收到计算机系统的限制。在软件开发中提出软件移植问题,软件的可移植性作为衡量软件质量的因

  • 软件测试-第一天2022-03-09 13:05:13

    一、软件基础 1.1、软件概念 ​ 是交给客户一整套的方案,包含计算机程序以及其他文档 软件=程序+文档(功能需求、软件设计、项目计划、项目报告、用户手册) 文档:通过文档可以让人清楚知道软件结构、程序设计思想、系统运行环境、软件操作方法,让人们对软件更方便的使用和维护。

  • 异步FIFO设计2022-03-08 16:02:53

    引言 异步FIFO被广泛使用在数字电路中,不论是作为数据buffer还是数据跨时钟域处理、不同位宽数据的缓冲。 本人以往的使用经验都是直接调用IP。但是异步FIFO的原理也是应该熟悉的,这样一种经典的电路设计十分巧妙,如何做到安全缓冲并有效避免了数据上溢或下溢? 本文参考了CE Cummings

  • 力扣_设计问题2022-03-08 14:31:23

    打乱数组 /**  * Your Solution object will be instantiated and called as such:  * Solution obj = new Solution(nums);  * int[] param_1 = obj.reset();  * int[] param_2 = obj.shuffle();  */  //设置一个数组shuffle为了保存调换后的数组,

  • mysql数据库表如何设计2022-03-06 21:31:27

    单表数据量 所有表都需要添加注释,数据量建议控制在3000万以内 不保存大字段数据 不在数据库中存储图片、文件等大数据 表使用规范 拆分大字段和访问频率低的字段,分离冷热数据 单表字段数控制在 20 个以内 * 索引规范 单张表中索引数量不超过 5 个 单个索引中的字段数不超过 5

  • HTML5期末大作业:电影网站设计——我的电影(6页) HTML+CSS+JavaScript 学生DW网页设计作业成品 web课程设计网页规划与设计 计算机毕设网页设计源码2022-03-06 12:34:19

    HTML5期末大作业:电影网站设计——我的电影(6页) HTML+CSS+JavaScript 学生DW网页设计作业成品 web课程设计网页规划与设计 计算机毕设网页设计源码 一、作品介绍 1.作品简介 :html期末大作业,dw学生网页设计作业, A+水平 ,喜欢的可以下载,文章页支持手机PC响应式布局。 2.作品

  • Rust一些设计的不好的地方2022-03-06 11:35:54

    第一个就是Option和Result里的map_or,map_or_else,即无论是按方法名还是按求值逻辑,第一个参数都应该是map方法/闭包,第二个才是默认值(map_or_else则是默认值的generate方法); 但是在rust里这个是反着来的,我是不太爽的,因为按函数名,明显第一个参数应该是map求值逻辑,当没有的时候再else二

  • Maven分模块开发与设计2022-03-06 11:34:08

    分模块开发与设计: maven 分模块、分工程管理使每个开发人员可以单独写一个项目负责自己要完成的模块部分,而且每个模块可以单独测试,单独发布,需要整个项目所有模块时只求要做好依赖关系聚合在一起就行了。这就为项目开发提供了极大的方便。 1.格式: 2.ssm_control拆分: 新建模块

  • 【硬件】一些设计规则2022-03-04 23:32:22

    好久不见         距上次更新,以有小半年了,去年九月份至今年三月。几个月却胜似沧海桑田,换了人间。原谅我个人的拖延,由于周遭杂事,加上没有更新的习惯,停更小半年。所幸这小半年也非一无所成,主要的一些成果在硬件体现的较多,当然遗憾也不少,仔细想来,仿佛自己一直被推着走。今年由于

  • 权限设计(功能权限与数据权限)2022-03-04 19:34:21

    权限设计的最终目标就是定义每个用户可以在系统中做哪些事情。   当我们谈到权限的时候,一般可以分为 功能权限、数据权限和字段权限; 功能权限:用户具有哪些权利,比如特定单据的增、删、改、查、审批、反审批等等;一般按照一个人在组织内的工作内容来划分;比如一个单据往往有录入人和

  • 设计那些事儿2022-03-04 10:00:06

    一、位图和矢量图 1、位图 位图也称为点阵图像,是由许多点组成的。其中每一个点即为一个像素,每一个像素都有自己的颜色、强度和位置。将位图尽量放大后,可以发现图像是由大量的小方块组成,不同的小方块显示不同的颜色和亮度。位图图像文件所占的空间较大,对系统硬件要求较高,且与分辨率

  • 测试02– 架构评价2022-03-03 15:06:00

    视频原址:http://www.le.com/ptv/vplay/20926143.html   根据观看的视频内容,回答下列问题: 1、 你认为改造的房屋存在什么样的问题? (至少五个存在问题) 储物空间严重缺乏、没有地方晾晒衣服、房子存在安全隐患、老人需要单独的休息空间、厨房设计不合理、厨房排烟不畅、整体采光差

  • PowerDesigner设计数据库表2022-03-03 14:03:03

    第一步:FIle->New Model(快捷键:Ctrl+N) 第二步:Model types->Physical Diagram 第三步:创建数据库表 第四步:创建数据库外键 第五步:双击箭头,可以修改外键名称和外键字段 第六步:生成sql文件 其他:Toolbox工具栏没有显示 自增字段数据类型

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有