ICode9

精准搜索请尝试: 精确搜索
  • SSM综合项目实战2021-03-01 19:00:49

    项目介绍 技术选型: SSM+Maven+SpringSecurity 后台管理项目 项目源码 链接: 源码及sql文件 提取码: x3wd 复 后文不用看,留个自我纪念 Domain层 用于将前台页面传来的字符串转换为Date类型 @DateTimeFormat(pattern="yyyy-MM-dd HH:mm") private Date departureTime; //

  • 综合工具支持的verilog关键字汇总2021-03-01 13:01:03

    1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。 (2)所有综合工具都不

  • 理论精讲-综合素质10-逻辑思维能力2021-02-20 02:01:35

             

  • 理论精讲-综合素质8-义务教育法2021-02-19 03:33:03

                                                                                                                         

  • 理论精讲-综合素质7-教师法2021-02-18 21:33:48

                                                                                                                 

  • 理论精讲-综合素质62021-02-18 03:01:35

                                                                                                                                 

  • 理论精讲-综合素质4——教师职业道德2021-02-17 05:01:04

                                                                                                           

  • 理论精讲-综合素质1——文化素养2021-02-15 21:04:48

                                 

  • 理论精讲-综合素质1——教育观2021-02-15 20:01:35

                                                                                                                     

  • Xilinx HLS流程步骤总结2021-02-08 13:32:18

    第一次写文,总结一下一年多以来在HLS写IP核的流程,太多坑,太多细节需要注意,软件本身也有很多BUG,然而教学视频并不会讲,都是自己把坑一个个填上。。。CSDN上也有比较理论的流程教学,这里是偏实际上手操作上的流程分享。 目前使用的是Vivado 2019.1和2019.2版本,编程语言是C,使用目的

  • 电商订单综合小项目2021-02-02 21:02:37

    1. 项目简介 1.1业务背景介绍 本案例围绕某个互联网小型电商的订单业务来开发。某电商公司,每天都有一些的用户会在线上采购商品,该电商公司想通过数据分析,查看每一天的电商经营情况。例如:电商公司的运营部门想要清楚的看到每天的订单笔数、订单的下单总额、不同支付类型对应的订单

  • ISE14.7逻辑综合与实现工作过程2021-01-29 23:03:03

    1.1 ISE14.7逻辑综合与实现工作过程 1.1.1 本节目录 1)本节目录; 2)本节引言; 3)FPGA简介; 4)ISE14.7逻辑综合与实现工作过程; 5)结束语。 1.1.2 本节引言 “不积跬步,无以至千里;不积小流,无以成江海。就是说:不积累一步半步的行程,就没有办法达到千里之远;不积累细小的流水,就没有办法汇成江河

  • 【复盘系统分析师之路】第五章 复盘软件工程2021-01-23 14:59:56

    【复盘系统分析师之路】第五章 复盘软件工程 复盘软件工程 【复盘系统分析师之路】第五章 复盘软件工程 第一部分 综合知识历年真题 2008上综合知识历年真题 2008下综合知识历年真题 2009上综合知识历年真题 2010上综合知识历年真题 2011上综合知识历年真题 2013上

  • Spring Cloud分布式微服务实战(养成应对复杂业务的综合技术能力)2021-01-22 09:51:04

    Spring Cloud分布式微服务实战(养成应对复杂业务的综合技术能力) V:ititit111222333 2020年最新课程这是一门培养应对复杂业务的综合技术能力的实战课程,本课采用前后端分离开发模式,严格遵守企业级架构和规范,带你开发门户平台+媒体中心+运营中心三大业务的企业级自媒体平台。 一个项目贯

  • 数采仪TS511大气环境综合管控,保卫“中国蓝”2021-01-18 22:57:03

    近期,生态环境部将会同有关部门和省(市)人民政府联合印发方案,推进实施重点区域2020-2021年秋冬季大气污染综合治理攻坚行动。计讯物联以优化大气环境管控服务为切入口,赋能业务融合、生态融合,专业提供全方位的大气环境综合管控方案。该方案是重点区域推进大气环境防治科学化的抓手,也

  • 2021-1-14静态综合实验2021-01-14 22:01:27

  • 静态综合2021-01-13 23:05:59

    R1 [R1]int g0/0/0 [R1-GigabitEthernet0/0/0]ip ad 192.168.1.1 30 Jan 13 2021 22:20:11-08:00 R1 %%01IFNET/4/LINK_STATE(l)[0]:The line protocol IP on the interface GigabitEthernet0/0/0 has entered the UP state. [R1-GigabitEthernet0/0/0] [R1-GigabitEtherne

  • 静态综合实验(未实现)2021-01-13 23:05:43

    静态综合实验 文章目录 前言实验实验要求划分子网规划图配置配置R3上DHCP要满足出故障时切换到100M的线,就要用到浮动路由接口要避免环路,就要配置汇总网段的空接口路由 前言 静态综合综合实验 实验 实验要求 划分子网 192.168.1.0 24 192.168.1.0000 0000 划6个 借3

  • 静态路由综合实验2021-01-13 21:06:14

    全网可达

  • ospf综合2021-01-13 18:01:05

    (一)合理规划IP地址,每个路由器建立loopback接口 R1和R8之间是18网段 R2和R8之间是28网段 R3和R8之间是38网段 R2和R4之间是24网段 R3和R4之间是34网段 R5和R4之间是54网段 R6和R4之间是64网段 R6和R7之间是67网段 (二)配置缺省路由指向R8路由器 [R1]ip route-static 0.0.0.0

  • 综合2021-01-10 13:35:40

    本文主要介绍综合相关知识,依据上课内容总结 印象笔记链接为:链接 文章主要内容见下: 什么是综合 综合是从基于HDL语言的RTL描述构造出电路门级网表的过程。它把抽象的设计转换为可制造的器件,IC前端和后端设计交互的重要桥梁。 综合的步骤 翻译:读入电路的RTL源码,将其转化为与工艺无

  • 2021-01-072021-01-07 19:01:21

    我小学、初中、高中的时候,从来都很快乐。似乎只要把学习搞好,其余什么都不用管了。 而那些被学习所掩盖的欠缺的技能、心机、远见卓识、综合素质、综合能力、表达能力,似乎都根本不重要。可又怎会真正不重要。我上大学时,总是发现北京的同学就是综合素质比外省的学生强,无论是学

  • 第三篇 鸿蒙的布局的综合应用一2020-12-31 21:35:06

                             

  • 等差等比数列综合2020-12-30 10:34:47

    前言 典例剖析 【2021届高三文科月考四用题】 已知 \(\{a_{n}\}\) 是等差数列, \(\{b_{n}\}\) 是各项都为正数的等比数列, \(a_{1}=b_{2}=1\), 再从条件①、②、③这三个条件中选择两个作为已知条件,条件①: \(a_{2}+a_{4}=10\),条件②:\(b_{2}b_{4}=4\), 条件③: \(b_{4}=a_{5}\); (1). 求

  • 变电站综合自动化系统浅析2020-12-29 19:03:38

    变电站综合自动化系统浅析 变电站综合自动化系统是一种集多学科、 多门类技术的综合性系统。 随着科学技术的飞 速发展,变电站综合自动化技术也在突飞猛进,许多新技术、新设备、新标准,以及新的网 络结构层出不穷, 不断地在原有基础上改进、 提高, 对相关行业的发展提供有力的技术

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有