ICode9

精准搜索请尝试: 精确搜索
  • 反相器的Cadence仿真2021-10-03 20:31:42

    1.启动虚拟机,打开library manager,新建库,并命名为RFIC_sim,并将该库链接到smic13mmrf_1233。 2.在RFIC_sim中新建一个Cell View,命名为INV。在原理图中放置PMOS和NMOS两个器件,设置PMOS和NMOS的宽长比之比为2:1,因为PMOS和NMOS的电子迁移率是不一样的,在相同的开启电压下,它们的导

  • CAN接口异常如何分析?看这篇就够了2021-09-28 17:57:53

    常见异常及解决方法 (1)两个节点近距离测试,低波特率通信正常,高波特率无法通信。 可能原因:未加终端电阻。由于CAN收发芯片内部CANH、CANL引脚为开漏驱动,如图1,在显性状态期间,总线的寄生电容会被充电,而在恢复到隐性状态时,这些电容需要放电。如果CANH、CANL之间没有放置任何阻性负

  • 仿制波形驱动机器人- SAW2021-09-27 22:04:06

       关注同名微信公众号“混沌无形”,阅读更多有趣好文! 原文链接: 仿制波形驱动机器人- SAW(包含原文PDF百度云下载链接) ​​​​​​​  精彩的理论论证过程见原文链接(含全文下载链接) 由于网页排版效果一般,所以笔者按照期刊论文版式为小伙伴们整理了原文PDF,方便收藏和

  • [SpinalHDL] 从建立工程到查看仿真波形2021-09-26 11:37:09

    折腾一下发现还是IntelliJ更好用一些,所以接下来采用IntelliJ IDEA学习SpinalHDL 安装环境不再赘述 建立工程 建立工程官方推荐的办法是从SpinalTemplateSbt创建。 下载好之后,解压到文件夹 unzip SpinalTemplateSbt-master.zip -d test_m/ 在IntelliJ IDEA中导入工程 选择build.s

  • 2021-09-252021-09-25 23:32:01

    步骤: 第一步,打开电源开关,将探头插入第一通道,探针插入相应位置。把第一通道焦准,调为有规律的波形,移至屏幕中央,这样,第一通道焦准就完成了。然后拔下探头插入第二通道,把第一通道关闭第二通道打开,将第二通道调为与第一通道相似的波形,第二通道焦准完成; 第二步,正确焦准后,将示波器

  • matlab程序的lte waveform generator的学习2021-09-23 16:05:41

    波形的生成: 在reference channel里面选择想要的波形,然后选择双工模式。generate生成波形,观察波形。点击export输出。 波形处理: 生成的波形如果直接用会有很多问题。最好用figure,plot来观察波形。 另外,波形的抽样频率和带宽有关,如果带宽为20M,抽样频率为30720000,如果为10M,抽样

  • verilog 的几个波形任务函数2021-09-20 16:59:37

    目前正用到波形任务函数,先记录下,如果后面有了深入的理解回来补充: xrun仿真工具要加的选项 +access+r $dumpfile(“wave.vcd”); $dumpvars(0,tb_uut); xrun仿真工具要加的选项(需要知道fsdb的任务函数,加pli接口) -loadpli1 debpli:novas_pli_boot 适用于verdi的 $fsdbDumpfile(

  • TVS计算及选型2021-09-10 22:33:28

    提到TVS,大部分电子工程师基本都知道是用来端口防护的,防止端口瞬间的电压冲击造成后级电路的损坏。由于其在电路中的极其重要的地位,但是,针对TVS的选型过程,很多厂家都是直接给推荐电路,直接告诉设计者答案选择哪个器件,却很少对选型过程提供理论计算,大部分的电子工程师针对TVS选

  • 关于FPGA设计仿真与硬件实测不一致的原理记录2021-08-25 16:02:15

     总结:Multi-Driven导致 近日在做UART串口协议的FPGA仿真,是非常基础的小练手,决定不借鉴网络上大段落的代码,但依旧出现了严重的问题。 写Testbench时,Simulation的波形符合预期。 但是在综合时,出现了Critical warning,起初并没有在意,直接将程序载入到黑金开发板之中,结果无论是在扩

  • verilog 用组合逻辑记录一串数据的初始1 与最终1的位置_附代码仿真波形2021-08-18 11:00:07

    1.题目 输入 数据 data=1100_1010   输出first_one = 0010  last=1000 , 思路,计算first_one ,先将1100_1010 变成0000_0010 这就是优先仲裁器的设计思路,然后输出该bit的位置就可, 对于last_one ,将datain 高低位转换一下,再同样的用之前思路就可。   2.分析,此题用组合逻辑设计,对电

  • 示波器基本使用教程-色温显示及冻结显示2021-08-06 18:31:14

    版本记录:V1.0-2021-08-06 色温显示 众所周知,在示波器正常显示模式下,是用灰度大小来表示波形出现的概率。 波形出现的概率越大,波形的颜色就越亮。 波形出现的概率越小,波形的颜色就越暗。 为了更清楚的了解波形出现的概率大小,使用色温显示功能。 简单的来说,就是用波形色温的冷

  • 【LabVIEW技巧】使用傅里叶变换修正正余弦编码器误差2021-08-05 09:58:38

    概述: 1、本文主要介绍如何测试正余弦编码器的绝对精度。 2、本文介绍如何使用傅里叶变化算法优化正余弦编码器精度。 被测品及测试设备简介: 1、被测编码器每旋转一个机械360°,sin cos分别输出5个周期。 2、被测编码器的sin cos信号理论信号幅值为0.5V。 3、被测编码器没有Z相

  • 合成器:Absynth 5使用独特的效果库来处理音轨2021-08-03 14:34:03

    Absynth 5 Mac破解版专为Mac用户设计的一款音乐合成器,Absynth 5不仅仅是一个合成器,而是一个强大的效果插件,用于使用独特的ABSYNTH 5效果库来处理音轨。 声音生成器 ABSYNTH 能够设置三组相互平行的独立振荡器。 所有的参数都能够被实时调制,以作动态表现互动之用。 每一个振荡器模

  • ARM裸机 - PWM定时器2021-08-01 13:02:03

    1.什么是PWM  PWM波形是一个周期性波形,周期为T,在每个周期内波形是完全相同的。每个周期内由一个高电平和一个低电平组成。 PWM波形有2个重要参数:一个是周期T,另一个是占空比duty(占空比就是一个周期内高电平的时间除以周期时间的商),高电平时间为Tduty,低电平时间为T(1-duty)。 2..

  • Canvas实现物体基本运动2021-07-29 23:58:30

      动画中最常见的就是物体运动。物体的位置随时间变化而变化,变化的规律不同,运行的形式也不同。本文学习参考文献1中的几种运动形式,并实践其中的案例。 匀速运动   匀速运动是人们很熟悉的运动方式,初、高中的时候就应该都接触过其概念,即物体单位时间内移动相同的距离。大

  • MATLAB Simulink工具箱2021-07-25 11:34:03

    文件总计 文件数量:.m文件用于生成slx模型需要的配置参数 slx文件是simulink模型,参考设计的slx文件中,备注了设计要点 文件夹slprj是与B相关的.MAT 文件等   使用工具 创建子系统 create sub选中模块,右键system Logic Analyzer逻辑分析仪 选中数据线,选择Log Signals,就可以在

  • 6T SRAM基本工作原理及LTspice仿真2021-07-18 17:00:13

    文章目录 前言一、下载LTspice二、模拟开始1. 6T SRAM2. LTspice模拟 三、仿真结果参考 前言 LTspice®是一款高性能SPICE仿真软件、原理图采集和波形查看器,集成增强功能和模型,简化了模拟电路的仿真。宏模型也包括在LTspice下载中,适用于大多数ADI开关稳压器、放大器以及

  • 使用QT的QChart写自己的示波器2021-07-17 15:01:44

    我几个月前最开始学习QT,就是从完成波形显示的功能开始的。之前工作的上位机需要有虚拟示波器功能,也就是波形显示。不曾玩过QT的小白,被安排学习完成一下。当时便选用QChart,根据领导需求也更新迭代过2次代码。 ​ 第一次参考大佬 ctrl c+v 完成个简单的显示。 https://www.cnb

  • 单片机课设-波形发生器2021-07-14 13:04:27

    单片机课设-波形发生器 前言一、课设任务是什么?二、如何解决这几个任务?三、仿真原理图四、代码结尾 前言   这篇文章是自己写的单片机课设,发这篇文章就当留个小纪念;如果说有什么不太好的地方还请大神请指出  Keil C51的代码以及Proteus的仿真的百度网盘链接放到

  • 2021_7_12数电课设2021-07-12 20:02:07

    目 录 摘要 1 一系统概述 3 1.1总体原理框图 3 1.2总体工作流程 3 1.2.1部分名词解释 3 1.2.2数字部分 3 1.2.3模拟部分 3 二单元电路的设计与分析 4 2.1顶层参数设计 4 2.2多分频器模块设计 4 2.3状态控制计数器设计 7 2.4变模式自循环ROM设计 9 2.4.1说明 9 2.4.2数据生成 10 2

  • Verilog HDL02——FPGA 电路开发入门实验2021-07-12 12:33:46

    实验一、项目创建、编译和下载 实验二、FPGA译码器组合逻辑 因为破解不充分无法打开实验三signaltap功能,先完成了实验一实验二,提前写了博客 链接:(1条消息) Verilog HDL01——FPGA电路开发实验初步使用_钶的博客-CSDN博客 实验三、计数器波形仿真和signalTap 组建一个计数器模块,

  • 基于图像识别的波形发生器2021-07-02 22:04:49

    基于图像识别的波形发生器 前言 时隔许久,经过了研究生考试、毕业设计,终于有时间让自己写点东西了。前段时间做了一个基于图像识别的波形发生器,这个项目刚开始在看到要求时感觉应该是可以做的,然后就答应下了,真正开始做的时候才发现有些技术指标比较棘手,也是让我苦恼了许久。

  • STM32产生任意波形的一种实现思路(以产生5k赫兹的方波 STM32F103RCT6,HAL库开发为例)2021-07-02 19:03:50

    本文的实现思路为DAC+DMA+TIMER 的方法产生任意波形 基本思路 ​ DDS的原理,通过在STM32中,存储一个完整周期的信号波形,并以等间隔时间将波形数据输出,即可得到预期的波形 ​ 我们在一个完整周期内取100点,用着100点来描述一个完整的周期信号 ​ 1、我们需要$$5KHz*100 = 500KHz $$

  • 【语音去噪】基于matlab最小二乘法(LMS)自适应滤波器【含Matlab源码 481期】2021-06-28 13:32:08

    一、简介 二、源代码 %该程序实现时域LMS算法,并用统计的方法仿真得出不同步长下的收敛曲线 clear % 清空变量空间 close all g=100; % 统计仿真次数为g N=1024; % 输入信号抽样点数N k=128;

  • S-function入门及案例详解(2)——S-function基本案例介绍2021-06-26 17:29:57

    目录 一、案例1——对波形的幅值进行放大1.1 案例分析1.2 案例详解1.3 输出波形 二、案例2——对波形的幅值进行放大拓展2.1 案例分析2.2 案例详解2.3 输出波形 三、案例3——对波形的幅值进行放大拓展3.1 案例分析3.2 案例详解3.3 输出波形 四、案例4——对离散输入进行延

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有