ICode9

精准搜索请尝试: 精确搜索
  • 时序分析第三讲2022-09-03 09:31:47

    保持时间时序分析的讲解     tcycle 采样沿跟我们数据的结束时间之间的差值就是余量     保持时间的余量跟时钟周期无关 hold slack=TCLK1+TCO+TDATA-TCLK2-TH   实操   做时序分析的时候最好把管脚绑定好,不然做的数据没有具体的分配,管脚出来的时序分析报告就没有参考意

  • vivado 时序分析1 22022-09-03 09:02:56

    对于FPGA的工具来讲,未知的是你设置的时钟频率 寄存器与寄存器之间的时序分析占整个设计里边的60%或以上    还有一部分是I/O部分的时序分析 知道信号是如何传递的,分析又有何意义     理想  时钟和数据同时到达,则保持时间为0,沿打沿现象。建立时间为整个时钟周期,保持时间出

  • DDR4 SDRAM -时序参数小册子2022-08-20 15:00:19

    如前所述,大神的原文链接如下: https://www.systemverilog.io/ddr4-timing-parameters-cheatsheet 参数 功能 ACTIVATE时序 tRRD_S 当向不同 bank group 的 bank 发出连续的 ACTIVATE 命令时,ACTIVATE 命令必须用 tRRD_S 分隔(row-to-row delay--short) tRRD_L 如果ban

  • 国产时序数据库IotDB安装、与SpringBoot集成2022-08-16 02:00:57

    一.简介: 本文将完成一个真实业务中的设备上报数据的一个例子,完整的展示后台服务接收到设备上报的数据后,将数据添加到时序数据库,并且将数据查询出来的一个例子。本文所有代码已经上传GitHub:https://github.com/Tom-shushu/work-study 下的 iotdb-demo 下。 IoTDB 是针对时间序列数

  • 时序图,PlantUML 绘制时序图2022-08-10 15:34:03

    什么是时序图 时序图有时候也被称为序列图,活动序列图,作为交互图的一种,时序图按照时间顺序从上往下显示每个操作。 案例说明 我们以一个日常生活中常见的操作,在ATM上查询银行卡账户余额,首先用户先将银行卡插入读卡器,然后在屏幕上输入密码,如果密码错误则回提示重新输入密码,如果密码

  • AIOps在美团的探索与实践—故障发现2022-07-29 23:36:22

    一、背景 AIOps,最初的定义是Algorithm IT Operations,是利用运维算法来实现运维的自动化,最终走向无人化运维。随着技术成熟,逐步确定为Artificial Intelligence for IT Operations——智能运维,将人工智能应用于运维领域,基于已有的运维数据(日志、监控信息、应用信息等),通过机器学习的

  • 【ENVI入门系列】19-1 时空分析工具2022-07-22 18:36:44

      版权声明:本教程涉及到的数据仅供练习使用,禁止用于商业用途。 更新时间:2016年02月 目 录 时空分析工具 1       概述. 2       详细操作步骤 第一步:构建时序数据 第二步:查看时序数据 1 概述 时空序列数据(这里我们简称为时序数据)对我们来说是非常有用的。通过遥感图

  • Pandas:时序数据(下)2022-07-17 01:05:21

    1、时间偏移 DateOffset 对象 DateOffset 类似于时间差 Timedelta ,但它使用日历中时间日期的规则,而不是直接进行时间性质的算术计算,让时间更符合实际生活。比如,有些地区使用夏令时时,每日偏移时间有可能是 23 或 24 小时,甚至 25 个小时。 移动偏移 应用偏移 偏移参数 上

  • 达摩院提出时序预测新模型FEDformer2022-07-12 21:34:16

    顶会点赞!达摩院提出时序预测新模型 阿里云 2022-07-12 16:05 https://mp.weixin.qq.com/s/9doHueBCbsV7eUH2q3uv0A 代码:https://github.com/DAMO-DI-ML/ICML2022-FEDformer 论文:https://arxiv.org/abs/2201.12740 框架: 任务和数据集: 数据集下载地址:https://cloud.tsinghua.edu.

  • ML第14周学习小结2022-07-10 09:00:55

    本周收获 总结一下本周学习内容: 1、《深入浅出Pandas》的第14章:Pandas时序数据 14.1 固定时间 14.2 时长数据 14.3 时间序列

  • 可观测|时序数据降采样在Prometheus实践复盘2022-07-06 17:46:38

    简介: 基于 Prometheus 的监控实践中,尤其是在规模较大时,时序数据的存储与查询是其中非常关键,而且问题点较多的一环。如何应对大数据量下的长周期查询,原生的 Prometheus 体系并未能给出一个令人满意的答案。对此,ARMS Prometheus 近期上线了降采样功能,为解决这个问题做出了新的尝试

  • 可观测|时序数据降采样在Prometheus实践复盘2022-07-04 23:01:32

    作者:智真 基于 Prometheus 的监控实践中,尤其是在规模较大时,时序数据的存储与查询是其中非常关键,而且问题点较多的一环。如何应对大数据量下的长周期查询,原生的 Prometheus 体系并未能给出一个令人满意的答案。对此,ARMS Prometheus 近期上线了降采样功能,为解决这个问题做出了新的

  • 墨天轮沙龙 | 清华乔嘉林:Apache IoTDB,源于清华,建设开源生态之路2022-06-30 16:32:35

    在6月8日举办的【墨天轮数据库沙龙第七期—开源生态专场】中,清华大学博士,助理研究员,Apache IoTDB PMC 乔嘉林老师分享了《Apache IoTDB,源于清华,建设开源生态之路》主题演讲,本文为整理内容。 导读 大家好,我是来自清华大学的乔嘉林。Apache IoTDB是一个开源项目,起源于清华大学实验

  • TDengine ×英特尔® 边缘洞见软件包 加速传统行业的数字化转型2022-06-28 17:38:01

    TDengine 已经支持工业英特尔® 边缘洞见软件包 为加速传统行业的数字化转型,英特尔推出了工业英特尔® 边缘洞见(Intel® Edge Insights for Industrial,以下简称 EII)软件包。众所周知,在工业生成的很多环节,都会产生大量的时序数据(Time-Series Data),数据就是财富,通过对这些数据进行全

  • 深度学习与气象时序预测_张琦 2020.08.052022-06-25 11:34:30

      https://www.bilibili.com/video/BV1hv411v7tM?spm_id_from=333.880.my_history.page.click&vd_source=3ad05e655a5ea14063a9fd1c0dcdee3e   如果一个序列是按照时间序列排序的,是按照时间上一个等长的间隔时间去采样的,序列的先后是有相互影响/依赖关系的;那么基本上就可以说是

  • 关于阻塞赋值综合的电路是怎样的2022-06-24 21:36:05

    在时序逻辑中使用了阻塞赋值的代码,综合后的电路是怎样的呢? 下面用vivado进行综合实现看看效果: 可以看出这里中间变量b被优化掉了,直接由寄存器c进行输出。但是还是要遵循代码规范,在时序逻辑建模中使用非阻塞赋值!  而modelsim进行功能仿真结果是怎样的呢? 下面进行一个简单的测试:

  • UML交互图2022-06-20 14:03:40

    概念 交互图描述对象之间的动态合作关系以及合作过程中的行为次序。 交互图常常用来描述一个用例的行为,显示该用例中所涉及的对象以及这些对象之间的消息传递情况,即一个用例的实现过程。 交互图有顺序图(Sequence Diagram)和协作图(Communication Diagram)两种形式。时序图也称为顺序

  • 如何优化PlantUML流程图(时序图)2022-06-12 00:01:37

    这篇文章用来介绍,如何画出好看的流程图。 1. 选择合适的组件 1.1 plantuml官方提供的组件 1.2 加载图片 1.2.1 加载本地图片 1.2.2 加载网络图片 1.2.3 图片资源 2. 背景以及颜色优化 2.1 通用设置 2.2 对每个组件的样式进行设置 2.3 引入文件 2.3.1 引用本地模板文件

  • 软件技术更新速度很快,担心自己掌握的技术会过时?2022-06-06 09:03:23

    上周末在知乎看到一个问题“都说现在编程技术更新速度快很多技术会过时,那么有哪些技术不容易过时呢?”,临时起意做了一个回答,没想到点赞数很快破千,成了热门回答,感觉很多技术人都比较关心这个问题。趁着在北京大兴酒店隔离有闲,把这篇回答扩展成一篇文章,结合我研发 TDengine 的经验

  • 【StarUML】时序图2022-06-03 21:33:45

    【StarUML】时序图   时序图是可视化地展示对象与对象之间的联系的图,与其他的图相比,它跟侧重于表现为了完成一个用例,对象之间是怎么协同工作的。 之前学习的组件图、用例图都能表现对象之间的联系,侧重的是“有哪些联系”,这是属于水平维度的展示,而时序图,它更像一个是从纵向维度

  • 1. 时序练习(广告渠道vs销量预测)2022-06-01 18:36:18

        用散点图来看下sales销量与哪一维度更相关。             和目标销量的关系的话,那么这就是多元线性回归问题了。    上面把所有的200个数据集都用来训练了,现在把数据集拆分一下,分成训练集合测试集,再进行训练。      

  • 涛思数据与中天钢铁签署战略合作协议,加速钢铁行业的数字化发展2022-05-20 13:01:50

    近日,北京涛思数据科技有限公司(以下简称涛思数据)与中天钢铁集团有限公司(以下简称中天钢铁)正式签署战略合作协议,该协议的签署标志着双方将开启深度合作,共同推动高性能时序数据库 TDengine 在钢铁行业的应用,同时双方期望能以不断的技术创新和强强合作加速钢铁行业的数字化发展。 据

  • 时序约束基本知识2022-05-12 14:34:40

    set_clock_transition 设定时钟边沿转换的时间 set_clock_uncertainty 设定时钟的偏移和抖动 set_input_delay set_output_delay 设定外部IO delay,一般以0.6倍时钟周期为宜。 set_max_delay 设定从外部IO进入,经过设计内组合逻辑直接输出(feedthrough path)的delay。 主要是set_m

  • 【协议时序】- SPI-PP写操作-实验2022-05-11 17:02:42

    分析 时序图 在下面的时序图中,指令之前还有一段写使能指令   一、设计文件 页写操作模块 `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 2022/05/10 15:39:07 //

  • 【时序协议】-SPI-驱动模块-实验2022-05-09 21:03:53

    分析 输出信号 SCK、MOSI SCK使用计数器实验4分频,在计数器cnt_4[0]=1的地方进行SCK翻转,就可以得到SCK输出信号 MOSI信号也需要使用cnt_4实现,分析如下图 MOSI的重点就是确定出什么时候采集信号、什么时候更新数据(即通过模式确定这2个重点)   一、设计文件 `timescale 1ns / 1ps /

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有