ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

数字电路 4.3 若干常用组合逻辑电路

2020-10-31 09:32:26  阅读:202  来源: 互联网

标签:编码 编码器 4.3 二进制 数字电路 逻辑电路 信号 译码器 输入


4.3.1 编码器

编码:为了区分一系列不同的事物,将其中的每个事物用一个二值代码表示。

编码器:将输入的每个高/低电平信号变成一个对应的二进制代码

普通编码器:

特点:任何时刻只允许输入一个编码信号。

例:3位二进制普通编码器

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090842.png

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090058.png

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090112.png

优先编码器:

特点:允许同时输入两个以上的编码信号,但只对其中优先权最高的一个进行编码。

例:8线-3线优先编码器

设 \(I_7\) 优先权最高,\(I_0\) 优先权最低

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090124.png

实例:74HC148

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090134.png

这里的\(S'\)代表是低电平输出,并且为选通信号。如果S为0,那就表示可通,为1表示不通。

\(Y_S'\)和\(Y_EX'\)为附加输出信号,当\(Y_S'\)为0时,电路工作无编码输入,\(Y_EX'\)为0时,电路工作有编码输入。

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090146.png

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090156.png

使用控制端可以扩展功能:

使用两片8线-3线优先编码器

可以变成16线-4线优先编码器

此时\(A_{15}'\)的优先权最高

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090227.png

1.框图内部只标注输入、输出原变量.

2.低有效时,框图外部相应输入、输出端加画小圆圈,并在外部标注的信号名称加非号“ ’ ”

3.第一片为高优先权,只有(1)无编码输入时,(2)才允许工作

4.第(1)片\(Y_{EX}'=0\)时表示对\(A_{15}'\)~\(A_{8}'\)的编码

二-十进制优先编码器(74LS147)

将\(I_0'\)~\(I_9'\)编成10个BCD码

\(I_9'\)的优先权最高,\(I_0'\)最低

输入的低电平信号变成一个对应的十进制的编码

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090216.png

注意输入全1时代表输入为0

4.3.2 译码器

译码:将每个输入的二进制代码译成对应的输出高、低电平信号。

常用的有:二进制译码器、二-十进制译码器,显示译码器等。

例:利用附加控制端进行扩展

74HC138

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090240.png

若D3=0 则(1)启动,D3=1,则(2)启动

用译码器设计组合逻辑电路

基本原理:

n位二进制译码器给出n变量的全部最小项,因此可以获得任何形式的输入变量不大于n的组合函数。

显示译码器

1.七段(八段)字符显示器(LED数码管)

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090249.png

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090302.png

灯测试输入\(LT'\)

当\(LT'=0\)时,\(Y_a\)-\(Y_g\)全部置为1

灭零输入\(RBI'\)

当\(A_3A_2A_1A_0=0000\)时,\(RBI'=0\)时,则灭灯

灭灯输入/灭零输出\(BI'/RBO'\)

输入信号,称灭灯输入控制端:

\(BI'=0\) 无论输入状态是什么,数码管熄灭

输出信号,称灭零输出端:

只有当输入\(A_3A_2A_1A_0=0000\) 且灭零输入信号\(RBI'=0\)时,\(RBO'\)才给出低电平

因此\(RBO'=0\)表示译码器将本来应该显示的零熄灭了

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090312.png

4.3.3 数据选择器

只取其中的一位输出

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090324.png

4.3.4 加法器

一、1位加法器

1.半加器,不考虑来自低位的进位,将两个1位的二进制数相加。

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090359.png

2.全加器:将两个1位的二进制数及来自低位的进位相加

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090409.png

二、多位加法器

1.串行进位加法器

优点:简单

缺点:慢

https://cdn.jsdelivr.net/gh/QingYuAnWayne/PicStorage@master/20201031090422.png

2.超前进位加法器

加到第i位的进位输入信号是两个加数第i位以前各位(0~i-1)的函数,可在相加前由A,B两数确定。

优点:快,每1位的和及最后的进位基本同时产生

缺点:电路复杂

标签:编码,编码器,4.3,二进制,数字电路,逻辑电路,信号,译码器,输入
来源: https://www.cnblogs.com/QingYuAn-Wayne/p/13905184.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有