ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

FPGAer:运行VCS的两个错误

2020-06-19 21:54:07  阅读:1089  来源: 互联网

标签:gcc 错误 license seconds 4.8 vcs 指令 FPGAer VCS


在Ubuntu中想使用vcs运行Verilog程序:

问题1:cannot connect to the license server.

The connect()system call failed.

Make sure that your LM_LICENSE_FILE is pointing to the right.

location and that the license server is up.

解决:在Windows中重新生成Synopsys.dat文件,并且修改DAEMON snpslmd中正确的路径。接着把Synopsys.dat文件放到vc和Verdi的安装包license文件夹下。最后重新用指令lmg_vcs激活license。

问题2:

collect2: error: ld returned 1 exit status
Makefile:104: recipe for target 'product_timestamp' failed
make[1]: *** [product_timestamp] Error 1
make[1]: Leaving directory '/home/liyirui/my/csrc'
Make exited with status 2
CPU time: .231 seconds to compile + .364 seconds to elab + .199 seconds to link
Makefile:4: recipe for target 'com' failed
make: *** [com] Error 2

解决:我这里基本上是参考了一个博客:https://blog.csdn.net/Chi_Hong/article/details/86361063

(这一步可以跳过,直接进行第二步)第一步:gcc版本需要降到4.8。指令:

apt-get install gcc-4.8
update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.8 80
update-alternatives --config gcc

第二步:指令:

vcs -full64 -LDFLAGS -Wl,-no-as-needed -V -R 你的测试程序.v 你的综合程序.v -o simv -gui -debug_pp

标签:gcc,错误,license,seconds,4.8,vcs,指令,FPGAer,VCS
来源: https://www.cnblogs.com/FPGAer/p/13166580.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有