ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

STM8L151C8单片机学习例程(6)——外部中断上升沿触发,翻转LED

2020-02-05 12:07:10  阅读:469  来源: 互联网

标签:main LED CLK 例程 单片机 EXIT GPIO include


STM8L_6_EXIT:
1.User:工程及main文件
2.Hardware:EXIT,CLK,LED,Delay
3.STM8L15x_StdPeriph_Driver:STM8自带库文件
4.Debug:hex文件存放于EXE文件夹
5.Function:    外部中断上升沿触发,翻转LED

/**
******************************************************************************
* 	@file    	main.c
* 	@author  	Alex——小白
* 	@version 	V1.0
* 	@date    	2019.5.1
* 	@brief   	外部中断点灯
*	@store   	有家Proteus仿真店子
*	@Link    	https://shop484534014.taobao.com/
*	@Email	 	844545015@qq.com
******************************************************************************
* 	@attention	All Rights Reserved  
**/

#include "stm8l15x.h"
#include "LED.h"
#include "Delay.h"
#include "CLK.h"
#include "EXIT.h"

void main(void)
{
    CLK_HSI_Config(CLK_SYSCLKDiv_1);    //HSI 1分频 16MHz
    LED_Init();                         //LED FLash
    EXIT_Init();
    
    enableInterrupts();
    while(1)
    {
        
    }
}

INTERRUPT_HANDLER(EXTI3_IRQHandler,11)
{
    /* In order to detect unexpected events during development,
       it is recommended to set a breakpoint on the following instruction.
    */
    if(GPIO_ReadInputDataBit(KEY_IOPORT,GPIO_Pin_3) == RESET)       //若有其他3号引脚,如PA3,可再次使用if判断PA3是否为0来区分PD3
    {
        Delay(0x7FFF);      //近10ms
        if(GPIO_ReadInputDataBit(KEY_IOPORT,GPIO_Pin_3) == RESET)
        {
            LED0_Toggle();
        }
    }    
    
    EXTI_ClearITPendingBit(EXTI_IT_Pin3);
}

 

Smile_shao 发布了18 篇原创文章 · 获赞 1 · 访问量 8038 私信 关注

标签:main,LED,CLK,例程,单片机,EXIT,GPIO,include
来源: https://blog.csdn.net/Smile_shao/article/details/104180173

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有