ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

UVM 简介

2022-09-11 09:31:34  阅读:227  来源: 互联网

标签:transaction 定义 简介 object component UVM uvm


通用验证方法 (UVM) 由开发良好架构、可重用的基于 SystemVerilog 的验证环境所需的类库组成。简而言之,UVM由一组基类组成,其中定义了方法,可以通过扩展这些基类来开发SystemVerilog验证环境。现在将 UVM 基类称为 UVM 类。

UVM Classes

UVM 由三种主要的 UVM 类别组成,

  1. uvm_object
  2. uvm_transaction
  3. uvm_component

uvm_object

其中定义了基于核心类的操作方法(创建、复制、克隆、比较、打印、记录等)、实例标识字段(名称、类型名称、唯一 ID 等)和随机种子。

所有 uvm_transaction 和 uvm_component 都是从 uvm_object 派生的。

uvm_transaction

用于激励生成。

uvm_component

组件是在整个模拟过程中存在的准静态对象。

每个 uvm_component 都可以通过分层路径名进行唯一寻址,例如“env.agent.driver”。

uvm_component 还定义了一个分阶段的测试流程,组件在模拟过程中遵循该流程。每个阶段(构建 build、连接 connect、运行 run等)由回调定义。都以精确顺序执行。

uvm_component 还定义了配置(configuration)、报告 (reporting)、事务记录(transaction recording)和工厂接口(factory interface)。

UVM Class Hierarchy (UVM 类层次结构)

 

标签:transaction,定义,简介,object,component,UVM,uvm
来源: https://www.cnblogs.com/fuqiangblog/p/16683516.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有