ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

Verdi -- Protocol Analyzer Debug

2022-08-17 17:33:01  阅读:246  来源: 互联网

标签:xml latency Protocol -- cfg FSDB Debug slave Verdi


介绍

Verdi Protocol Analyzer 和Verdi Transaction Debug中提及的一样,显示transaction的 “波形” 与具体信息。但是需要配合SNPS VIP使用,显示更多协议相关的信息: 如 latency 、bandwidth 等

配置

Compile Time Options

+define+SVT_AXI_ACE_SNPS_INTERNAL_SYSTEM_MONITOR_USE_MASTER_SLAVE_AGENT_CONNECTION  // Required for master-slave latency metrics.

-lca -kdb // dumps the work.lib++ data for source coding view

+define+SVT_FSDB_ENABLE // enables FSDB dumping

-debug access

vip env config


/** Enable protocol file generat on for protocol Analyzer */
this.master_cfg[i].enable_xml_gen = 1;
this.slave_cfg[i].enable_xml_gen = 1;

this.master_cfg[i].pa_format_type = svt_xml_writer:: ::<XML/FSDB/BOTH>;
this.slave_cfg[i].pa_format_type= svt_xml_writer:: ::<XML/FSDB/BOTH>;
// 0 is XML , 1 FSDB and 2 both XML and FSDB. defauit it wil1 be zero

使用

数据解读

write_latency

  • write命令发出到slave接收到的时间,即wvalid 到 bvalid时间

read_latency

  • rvalid 拉高到rlast完的时间

标签:xml,latency,Protocol,--,cfg,FSDB,Debug,slave,Verdi
来源: https://www.cnblogs.com/thisway2014/p/16596003.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有