ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

clion快捷键

2022-06-12 10:31:07  阅读:194  来源: 互联网

标签:Ctrl Shift 快捷键 箭头 Setting Alt clion


 
  1. 快捷键配置

    File->Setting->Keymap->Keymaps 选择Visual Studio风格

  2. 代码提示的匹配模式

    File->Setting->Editor->Code Completion Case sensitive completion选择First letter

  3. 智能提示

    写代码的过程成,如果出现问题,例如漏写了一个参数,会智能提示,此时点击左侧的小灯泡或者按 Alt + Enter,IDE会给出建议的解决方案

  4. 代码生成

    File->Setting—>Live Templates 默认有for, iter, itit,可以根据自己喜好添加

  5. 自定义快捷键

    以下操作在“Setting->Keymap”中进行

    ->Editor Actions
    Move Caret to Next Word Ctrl+向右箭头 删除该快捷键
    Move Caret to Previous Word Ctrl+向左箭头 删除该快捷键

    ->Main menu->Navigate
    Back Ctrl+向左箭头 修改成该快捷键
    Forward Ctrl+向右箭头 修改成该快捷键

  6. 解决快捷键与Ubuntu系统的冲突

    以下操作在”系统设置->键盘->快捷键”中进行

    ->窗口
    移动窗口 Alt+F7 删除该快捷键

    ->系统
    锁定屏幕 super+L 修改成该快捷键

  7. 快捷键

    Ctrl + 向左箭头 回退
    Ctrl + 向右箭头 前进
    ALT + 1 显隐工程栏
    Ctrl + / 添加或删除注释
    Ctrl + Alt + L 格式化代码
    Alt + F7 Find Usages
    Ctrl + R 替换
    Ctrl + B 在定义和申明之间跳转
    Ctrl + Shift + Backspace 回到最后编辑的地方

    Ctrl + N 查找类
    Ctrl + O 选取需要重写的方法
    Ctrl + F12 快速查看类成员
    Ctrl + Q 快速查看文档
    Ctrl + P 提示参数信息
    Ctrl + H 查看继承树
    Ctrl + E 查看最近浏览的文件
    Ctrl + Alt + B 查看接口、抽象类的实现类

    Ctrl + F 搜索当前文件 注:按Esc,搜索框就会消失
    Ctrl + Shift + F 搜索整个工程或指定目录 注:连续按两次Esc,搜索框就会消失
    Ctrl + Shift + N 找文件
    Ctrl + F7 找到某个类、变量、函数等在文中被使用到的的地方
    Shift + Shift 全局搜索
    Ctrl + Alt + 7 查看类、对象、变量使用的地方

    Ctrl + Alt + -/+ 展开折叠当前函数、类
    Ctrl + Shift + -/+ 展开折叠所有函数、类

    Shift + F6 重新命名变量
    Alt + insert 添加自动代码生成信息(插入set*()/get*()/构造器、equals、hashcode等)
    Ctrl + Shift + 空格 提示信息(new后直接键入构造器、构造器内提供构造信息)

转载:https://www.cnblogs.com/never--more/p/6936329.html

标签:Ctrl,Shift,快捷键,箭头,Setting,Alt,clion
来源: https://www.cnblogs.com/chentiao/p/16367496.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有