ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

【D触发器】— 时序图

2022-04-20 21:00:16  阅读:187  来源: 互联网

标签:02 触发器 01 clk 时序 b0 rst out


一个非阻塞赋值可以综合成一个D触发器

module test_01
(
input clk,
input rst_n,
input in_01,

output reg out_02
);

always@(posedge clk or negedge rst_n)begin
if(rst_n == 1'b0)
out_02 <= 1'b0;
else
out_02 <= in_01;// 综合成D触发器

end

endmodule 

 测试文件

`timescale 1ns/1ns

module tb_test_01;
reg clk;
reg rst_n;
reg in_01;

wire out_02;

initial begin
clk = 1'b0;
rst_n = 1'b0;
in_01 = 1'b0;
#12;

rst_n = 1'b1;

end

always #16 in_01 = {$random}%2;

initial begin 
$display("in_01 = %d ",in_01);
end

always #10 clk = ~ clk;

test_01 test_01_inst
(
.clk(clk),
.rst_n(rst_n),
.in_01(in_01),

. out_02(out_02)

);

endmodule 

 

 

 

标签:02,触发器,01,clk,时序,b0,rst,out
来源: https://www.cnblogs.com/liuxiaoyanfpga/p/16171690.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有