ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

关于sv中竞争冒险的理解

2022-02-20 17:02:51  阅读:211  来源: 互联网

标签:采样 sv 竞争 delta clk1 clk2 延迟 冒险 cycle


1.采样和数据和数据驱动问题:

 上图中,clk2表面上跟随clk1的变化,但是实际上clk2滞后clk1一个delta-cycle(如下图);同时,数据d1的变化也在clk上升沿后的一个delta-cycle,与clk2同时变化。所以,由于各种可能性,clk与被采样数据之间可能只存在N个delta-cycle的延迟,那么采样可能会存在问题,例如上面的例子中,clk1和clk2对d1采样,在同样的时刻中得到不同的采样结果。为了避免这种采样数据中的竞争问题,有如下两种解决办法:

        1:在驱动时,添加相应的人为延迟。模拟真实的延迟行为,同时加大与变量之间的延迟,以此提高DUT使用信号的准确度和TB采样信号的可靠性。

        2.对于一些采样时仍然存在delta-cycle延迟信号,我们还可以依靠在采样事件前的某段时刻进行采样,来模拟建立事件的采样要求,确保采样的可靠性。

上述两种办法的本质就是使用时钟块(clocking)

clocking块基于时钟周期对信号进行驱动或采样方式,是的testbench不再苦恼于如何准确及时对信号驱动或者采样,消除信号竞争问题。

`timescale 1ns/1ps

module tb5;

    bit clk1,clk2 ;
    bit rstn ;
    logic [7:0] d1 ;

    initial begin
        forever #5 clk1 = ~clk1;
    end
    always @(clk1) clk2 <= clk1 ;
    initial begin
        #10 rstn <= 0 ;
        #20 rstn <= 1 ;
    end
    always @(posedge clk1 or negedge rstn) begin
        if(!rstn) d1 <= 0 ;
        else begin
            d1 <= d1 + 1 ;
        end
    end

    always @(posedge clk1) begin
        $display("@clk1 posedge %0t : d1 = %0d",$time,d1);
    end

    always @(posedge clk2) begin
        $display("@clk2 posedge %0t : d1 = %0d",$time,d1);
    end
endmodule

标签:采样,sv,竞争,delta,clk1,clk2,延迟,冒险,cycle
来源: https://blog.csdn.net/qq_41899186/article/details/123032529

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有