ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

riscv-mini 学习记录三 RegFile模块和ImmGen模块

2022-01-27 23:06:27  阅读:207  来源: 互联网

标签:mini 模块 val riscv xlen UInt io 寄存器 Input


一、RegFile模块

在记录一中,我们列出了RV32I的32个寄存器。下面分析寄存器模块的定义。

package mini

import chisel3._
import freechips.rocketchip.config.Parameters

class RegFileIO(implicit p: Parameters)  extends CoreBundle()(p) {
  val raddr1 = Input(UInt(5.W))
  val raddr2 = Input(UInt(5.W))
  val rdata1 = Output(UInt(xlen.W))
  val rdata2 = Output(UInt(xlen.W))
  val wen    = Input(Bool())
  val waddr  = Input(UInt(5.W))
  val wdata  = Input(UInt(xlen.W))
}

class RegFile(implicit val p: Parameters) extends Module with CoreParams {
  val io = IO(new RegFileIO)
  val regs = Mem(32, UInt(xlen.W))
  io.rdata1 := Mux(io.raddr1.orR, regs(io.raddr1), 0.U)
  io.rdata2 := Mux(io.raddr2.orR, regs(io.raddr2), 0.U)
  when(io.wen & io.waddr.orR) {
    regs(io.waddr) := io.wdata
  }
}

从上面的代码中可以看到,寄存器模块分为接口定义和寄存器定义两个部分。

接口分为读寄存器和写寄存器,由于指令通常需要一次读取2个源寄存器RS1和RS2,写回的时候,通常只需要对一个目的寄

标签:mini,模块,val,riscv,xlen,UInt,io,寄存器,Input
来源: https://blog.csdn.net/chenxigeng/article/details/122724622

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有