ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

07-Vector-向量翻转

2022-01-27 22:32:58  阅读:233  来源: 互联网

标签:07 module Vector Verilog input 向量 翻转


Verilog HDL(HDLBits)

Verilog Language Basic

07-Vector-向量翻转
Given an 8-bit input vector [7:0], reverse its bit ordering.(将该向量翻转,第一位变最后一位,倒数第二变第二,以此类推)

module top_module( 
    input [7:0] in,
    output [7:0] out
);
   /* 
    integer i;
    always@(*)
    begin
        for(i = 0; i <= 7; i = i + 1)
        	begin
            out[i] = in[7-i];
        	end
    end
    */
    integer i;
    always@(*)
        begin
            for(i = 0;i <= 7;i = i+1)
               out[i] = in[7-i];
        end

endmodule

标签:07,module,Vector,Verilog,input,向量,翻转
来源: https://blog.csdn.net/qq_32565167/article/details/122724523

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有