ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

FPGA学习笔记4 -- 加法器的实现

2021-11-27 21:34:48  阅读:145  来源: 互联网

标签:count wire FPGA 1ns -- sum add 加法器 half


半加器

模块图及真值表

 

 

 

 

module half_add
(
	input wire in_1,
	input wire in_2,
	
	output wire sum,
	output wire count
);
	
	assign {count, sum} = in_1 + in_2;

endmodule

rtl综合电路

 测试文件testbench

`timescale 1ns/1ns

module half_add_tb;

	reg in_1;
	reg in_2;
	
	wire sum;
	wire count;
	
//模块的实例化
	half_add s0 (
	.in_1(in_1),
	.in_2(in_2),
	.sum(sum),
	.count(count)
	);
	
	initial begin
		#100;
		in_1 <= 1'b0;
		in_2 <= 1'b0;
		#100;
		in_1 <= 1'b1;
		in_2 <= 1'b0;
		#100;
		in_1 <= 1'b0;
		in_2 <= 1'b1;
		#100;
		in_1 <= 1'b1;
		in_2 <= 1'b1;
		#100;
		$stop;
	end
endmodule 

标签:count,wire,FPGA,1ns,--,sum,add,加法器,half
来源: https://blog.csdn.net/whurrican/article/details/121579068

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有