ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

关于fsdbDumpvars/fsdbDumpfile Undefined的问题解决办法

2021-11-18 15:34:12  阅读:1364  来源: 互联网

标签:fsdbDumpvars Undefined share System fsdbDumpfile call HOME


问题:

在仿真的时候,如果需要dump fsdb波形文件,报下图的错误

Undefined System Task call to '$fsdbDumpfile'.

Undefined System Task call to '$fsdbDumpvars'.

 解决方法:

需要增加下面的Verdi编译文件:

 -P ${VERDI_HOME}/share/PLI/VCS/LINUX64/novas.tab ${VERDI_HOME}/share/PLI/VCS/LINUX64/pli.a

标签:fsdbDumpvars,Undefined,share,System,fsdbDumpfile,call,HOME
来源: https://blog.csdn.net/qq_26330025/article/details/121401608

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有