ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

篇5-uvm_component/uvm_sequence_item常用函数解读

2021-11-16 17:32:13  阅读:359  来源: 互联网

标签:函数 get sequence component jerry uvm name


资料来源:

(1)公众号-杰瑞IC验证;

1.uvm_component相关函数

1.1.uvm_component中get_name()系列函数

(1) get_name()会打印出”u_jerry_so_cool”(实例名);

(2) get_full_name()会打印出”uvm_test_top.u_jerry_env.u_jerry_so_cool”(路径名字);

(3) get_type_name()会打印出”jerry_component”(类名);

1.2. uvm_component的get_parent函数

(1) 因为一个uvm_component只有一个parent,所以该函数不需要输入参数;

1.3. uvm_component的get_child函数

(1) 与get_parent不同,由于一个uvm_component可能有多个child,所以get_child函数需要有一个string类型的参数name,表示此child实例在实例化时指定的名字;

1.4. uvm_component的get_children函数

2.uvm_sequence_item相关函数

2.1. uvm_sequence_item中get_name系列函数

(1) get_name()会打印出”jerry_is_good”; uvm_sequence_item中的get_name函数与uvm_component一样,打印”new()”时候传入的字符串;

(2) get_full_name()会打印出”jerry_is_good”; 对于uvm_sequence_item, get_full_name()与get_name()一样! 因为它是不作为UVM树节点的,所以路径没有别的层次; 这点保留了uvm_object原本的函数性质;

(3) get_type_name()会打印出”jerry_tr”;

注1:原始的uvm_object的get_type_name()传出的是<unknown>,也就是无返回值的; 但是`uvm_object_utils_begin(jerry_tr) ... `uvm_object_utils_end会把uvm_object的get_type_name()函数重载, 然后返回class名字;

注2:如果把`uvm_object_utils_begin...这几句话拿掉, get_type_name会打印出uvm_sequence_item, 因为uvm_sequence_item里面本身也会重载这个函数;

标签:函数,get,sequence,component,jerry,uvm,name
来源: https://www.cnblogs.com/csjt/p/15562348.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有