ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

functional coverage

2021-09-10 06:00:17  阅读:300  来源: 互联网

标签:rw addr sram coverpoint functional coverage cp bins


cp_x: coverpoint x{
  bins mod3[] = {[0:255]} with (item % 3 == 0);
}
cp_b: coverpoint b{
  bins func[] = cp_b with (myfunc(item));
}

///
class sram_monitor;
  virtual sram_interface sram_vif;
  
  covergroup cg_read with function sample(int addr, );
    cp_addr: coverpoint addr {
               bins valid[] = {[0:63]};
               
             }
    cp_addr_region: coverpoint addr{
      bins min = {0};
      bins max = {63};
      bins boundary[] = {1,2,61,62};//4 bins: boundary_1,boundary_2,...
      bins others[] = default;//values not lie within any of the above
    }
  endgroup
  bins fixed[4] = { [1:10], 1, 4, 7 };
  covergroup cg_sram_rw with function sample(mem_rw req);
    cp_rw:    coverpoint req.rw;    
    cp_addr:  coverpoint req.addr {bins valid[] = {[0:63]};}
    cp_wdata: coverpoint req.wdata iff(req.rw==WRITE);
    cp_rdata: coverpoint req.wdata iff(req.rw==READ);
    cp_rw_X_cp_addr: cross cp_rw, cp_addr;
  endgroup
coverpoint a
{
  bins valid[] = {[4:6]};
  ignore_bins ignore_vals = {7,8};
  illegal_bins bad_vals = {1,2,3};
}

endgroup 
  
  function new();
    cg_read = new();
  endfunction
  
  task monitor_read();
    while (1) begin
      @(posedge sram_vif.clk);
      if (sram_vif.cs & (~sram_vif.we)) begin
        $display("@%0t:sample coverage for addr=%0d!", $time(), sram_vif.read_addr);
        cg_read.sample(sram_vif.read_addr);
      end
    end
  endtask
endclass

// Code example of functional coverage model
covergroup tx_word_format_cg
   WORD_LENGTH: coverpoint lcr[1:0] {
       bins bits_5 = {0};
       bins bits_6 = {1};
       bins bits_7 = {2};
       illegal_bins bits_8 = {3};
       }
   STOP_BITS: coverpoint lcr[2] {
       bins stop_1 = {0};
       bins stop_2 = {1};
       }
   PARITY: coverpoint lcr[5:3] {
       bins no_parity = {3’b000, 3’b010, 3’b100, 3’b110};
       bins even_parity = {3’b011};
       bins odd_parity = {3’b001};
       bins stick1_parity = {3’b101};
       bins stick0_parity = {3’b111};
       }
  WORD_FORMAT: cross WORD_LENGTH, STOP_BITS, PARITY;
endgroup: tx_word_format_cg

 

标签:rw,addr,sram,coverpoint,functional,coverage,cp,bins
来源: https://www.cnblogs.com/igabriel/p/15249699.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有