ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

HDLbits——Shift Register

2021-09-04 10:32:26  阅读:274  来源: 互联网

标签:load register HDLbits Shift Register areset zero shift input


Shift4

Build a 4-bit shift register (right shift), with asynchronous reset, synchronous load, and enable.

areset: Resets shift register to zero.
load: Loads shift register with data[3:0] instead of shifting.
ena: Shift right (q[3] becomes zero, q[0] is shifted out and disappears).
q: The contents of the shift register.
If both the load and ena inputs are asserted (1), the load input has higher priority.

verilog代码:

module top_module(
    input clk,
    input areset,  // async active-high reset to zero
    input load,
    input ena,
    input [3:0] data,
    output reg [3:0] q); 
    always @(posedge clk or posedge areset)begin
        if(areset)begin	
            q <= 4'b0;
        end
        else if (load)begin
            q <= data;
        end
        else if(ena) begin
            q <= {1'b0,q[3:1]};
        end
        else begin
            q <=q;
        end 
    end
endmodule

测试结果:

原理图

标签:load,register,HDLbits,Shift,Register,areset,zero,shift,input
来源: https://www.cnblogs.com/waqdgstd/p/15225962.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有