ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

Datapath综合代码规范(Verilog)

2021-07-10 14:01:07  阅读:517  来源: 互联网

标签:操作数 运算 符号 代码 Datapath signed Verilog 表达式


一、一般准则

1、有符号数运算

利用类型“signed”完成有符号数运算,而不是用无符号数模拟有符号数运算。这样可以得到更好的QoR。在资源报告中检查操作数的类型和大小。

2、符号/零扩展

尽量不要手动扩展。verilog利用signed/unsigned会自动完成扩展。这样代码可读性高,且工具在综合时会更容易且可靠的检测操作数。

3、有/无符号数混用

不要在一个表达式中混用 有符号数 和 无符号数。若表达式中有一个数为无符号数,Verilog会把整个表述为无符号的,导致功能失常。

4、有符号数部分选择

部分选择会导致无符号数的结果,而与操作数无关,哪怕选择了整个向量也是无符号的,如 input signed[7:0] a 中 a[7:0] 也会被认为是无符号的。

5、表达式位宽

利用中间信号和附加指配使宽度定义没有歧义。

标签:操作数,运算,符号,代码,Datapath,signed,Verilog,表达式
来源: https://blog.csdn.net/qq_21842097/article/details/118631982

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有