ICode9

精准搜索请尝试: 精确搜索
  • Verdi -- Protocol Analyzer Debug2022-08-17 17:33:01

    介绍 Verdi Protocol Analyzer 和Verdi Transaction Debug中提及的一样,显示transaction的 “波形” 与具体信息。但是需要配合SNPS VIP使用,显示更多协议相关的信息: 如 latency 、bandwidth 等 配置 Compile Time Options +define+SVT_AXI_ACE_SNPS_INTERNAL_SYSTEM_MONITOR_USE_M

  • Verdi使用技巧——非连续有效信号量测方法2022-07-04 10:35:56

    IC君的第42篇原创文章 (欢迎关注公众号 icstudy ,顺便在微信文章中点点广告) 上一篇文章IC君跟大家分享了Verdi使用技巧——连续有效信号量测方法。有不少网友在文章后留言或者微信给我留言,提供了一些其它方法。不得不说广大人民群众的智慧才是无穷无尽的,大家一起交流才能取得更大的

  • Verdi使用技巧2022-06-14 09:35:59

    目录 1、总线拆分 2、统计次数 3、逻辑运算 4、manage.rc 5、Trace代码过程中波形窗口保持前置 6、Parameter 提示的默认进制 7. 数字信号的模拟化呈现 8. 模拟波形的显示范围 9. 自动调节:加载特定时间范围 10. 如何提高螺丝钉的自我修养 11. 跳转到指定行号 12. 源文件之间或不同

  • Verdi软甲使用2022-06-09 17:02:25

    Verdi的使用技巧总结 1,加载信号 2,放大缩小 3,波形文件保存.rc 4,移动波形窗口信号位置 5,对信号的操作 6,显示信号全路径 7,显示状态机及其名称 8,改变信号和波形颜色 9,参考信号数值 10,新建组与对组重命名 11,mark标记功能 12,重新加载波形或设计文件 1,加载信号 选中波形窗口,使用快捷

  • 日常记录(67)verdi2022-03-01 19:34:04

    http://blog.sina.com.cn/s/blog_13f7886010102xqlu.html VCS Compile阶段加上“-kdb -lca”这个选项,这样就能在VCS Compile中看到simv.daidir/kdb.elab++这个目录,这个目录就是VCS为Verdi产生的库,其实就是VCS帮Verdi生成了一个Verdi认识的中间文件。 如果有了​simv.daidir/kdb.el

  • vcs/verdi安装步骤以及采坑记录2022-01-04 09:00:12

    1.采用的源码来自:https://mp.weixin.qq.com/s?__biz=MzAwNjc3NjIzMQ==&mid=2247483880&idx=1&sn=f9bb48e7f009a0641711cc98898e489f&chksm=9b097520ac7efc3643cca6fdf49c92c8e7f5343d22e10bf05910d383c4c6c5f85e1b320a76a6&scene=178&cur_album_id=20176997

  • 芯片验证学习实验1-全加器仿真操作学习,用vcs和verdi实操,有源代码2021-10-30 12:58:52

    软件:vcs-2016,verdi-2016 系统:linu系统 本文目录: 1、设计代码 2、验证代码 3、filelist文件 4、makefile脚本 5、仿真调试 1)在命令行输入 make run,进行仿真; 2)输入 make verdi,打开波形; 6、清理文件 代码源码: 1、设计代码 在命令行敲入 gvim full_adder.v,然后把下面代

  • VCS和Verdi的安装解决问题记录2021-09-11 17:32:29

    VCS和Verdi的安装问题记录 安装系统环境 :Centos 7 主要参考如下内容: 从零开始VCS+Verdi 关于CentOS7安装VCS等EDA仿真软件过程中遇到的问题 安装注意事项: 整个过程安装需要注意一下几点: 1、安装文件结构 ​ 我的安装目录如下所示,安装在/opt/Synopsys 中,安装目录只需前期进行

  • Verdi/Coverage tool 学习 第2节2021-09-08 21:00:42

    Merge Coverage 一、常用的VCS Command  1.1、首先注意,需要在run simulation前(在build中)加VCS Option: -cm line+tgl+cond+fsm+assert+branch -cm_line contassign -cm_cond full+tf+for+anywidth  1.2、merge coverage cmd: urg -full64 -metric line+tgl+cond+fsm+assert+bran

  • verdi 文件格式转换及差异2021-02-24 21:30:27

    1、fsdb2saif 命令: fsdb2saif 1.fsdb -bt 100ns -et 200ns -o 2.saif 将1.fsdb文件中100~200ns时间段的波形抽出转换为2.saif文件。 2、fsdb2vcd 命令: fsdb2vcd 1.fsdb -bt 100ns -et 200ns -o 2.vcd 将1.fsdb文件中100~200ns时间段的波形抽出转换为2.vcd文件。 3、fsdbext

  • Verdi UVM Debug Mode 简单使用2021-01-05 16:03:27

    转载:Verdi UVM Debug Mode 简单使用_Holden_Liu的博客-CSDN博客 文档与源码: User Guide: UVMDebugUserGuide.pdf  in $VERDI_HOME/doc Verdi online doc: Verdi Ooline Doc  //need log in SolvNet before testbench使用的是《UVM实战》的7.4.3章节源码: 源码地址:UVM实战源码

  • Verdi UVM Debug Tool 简单使用2020-06-24 23:09:59

        文档与源码: User Guide: UVMDebugUserGuide.pdf  in $VERDI_HOME/doc Verdi online doc: Verdi Ooline Doc  //need log in SolvNet before testbench使用的是《UVM实战》的7.4.3章节源码: 源码地址:UVM实战源码下载 介绍: Verdi UVM Debug tool: 针对UVM 平台的debug工具

  • zt:nc-sim 和verdi2019-02-21 12:52:52

    作者:steven_yan_2014  来源:CSDN  原文:https://blog.csdn.net/steven_yan_2014/article/details/41778825 版权声明:本文为博主原创文章,转载请附上博文链接!   VCS无法使用的问题困扰了好久,暂且放下,下面要搞定ncverilog和verdi结合使用dump fsdb的问题。 其实很简单,如果正确安装了

  • Verdi文档路径2019-02-19 16:04:48

    1.echo $VERDI_HOME 2.cd $VERDI_HOME/doc 3.okular VerdiTut.pdf& Verdi主要在以下方面使用     Verdi使用情形:        IC验证工程师(Debug)        IC设计工程师(Review)    学习目标主要以下三方面:        能够生成fsdb波形;        能够查看

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有