ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

模板引擎freemarker

2022-05-08 20:32:12  阅读:192  来源: 互联网

标签:... null java freemarker 指令 引擎 模板


介绍

是一款用java语言编写的模版引擎,它虽然不是web应用框架,但它很合适作为web应用框架的一个组件,它是基于模板文件生成其他文本的通用工具,特点:

  1. 轻量级模版引擎,不需要Servlet环境就可以很轻松的嵌入到应用程序中
  2. 能生成各种文本,如html,xml,java,等
  3. 入门简单,它是用java编写的,很多语法和java相似

用法

下面的指令,都是freemarker的FTL指令

  1. 注释<#-- ... -->
  2. 指令<#list 数组或集合 as element> ... </#list>
  3. 指令<#if 条件> ... <#else> ... </#if> 注意在条件中大于号问题,使用 gt 或括号替代,else可以不要
  4. 判断不为null <#if mouse??> ,可以 ${param!"param为null时的替代展示"}

有问题,再查阅官方文档即可

参考:
[1] 官方文档: http://www.freemarker.net/ 里面很详细

标签:...,null,java,freemarker,指令,引擎,模板
来源: https://www.cnblogs.com/TheoryDance/p/16246756.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有