ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

【Verilog基础】Verilog语法之标识符

2022-01-08 19:33:06  阅读:260  来源: 互联网

标签:下划线 大写 语法 关键字 Verilog 小写 标识符


标识符用于定义常数、变量、信号、端口、子模块或参数名称。 Verilog 语言是区分大小写的,也就是说同一个名称,用大写和用小写就代表了两个不同的符号,这一点与VHDL 不同,因此书写的时候要格外注意。

在 Verilog 语言中,所有的关键字(又叫保留字)都为小写。完整的 Verilog 关键字在编辑器会以高亮的形式突出出来。 Verilog 的内部信号名(又称标识符)使用大写和小写都可以。标识符可以是字母、数字、 $(美元符号)和下划线的任意组合,只要第一个字符是字母或者下划线即可。

标签:下划线,大写,语法,关键字,Verilog,小写,标识符
来源: https://blog.csdn.net/ReCclay/article/details/122384917

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有