ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

仿真 vcs ncverilog

2021-12-01 10:01:55  阅读:363  来源: 互联网

标签:仿真 ucli% chip ncverilog vcs call ncsim% tb top


目录

仿真工具

1. vcs

# pin相关操作
ucli% search top_tb.chip.vss # 查找某个pin, 返回{top_tb.chip.vcss}, 如果不存在则返回空.
ucli% force top_tb.chip.SYS_CLK 0 0ns, 1 10ns -repeat 20ns # force时钟
ucli% get top_tb.chip.trstn  # 获取某个pin的值, 得到的是'b0, 'b1, 'bz这种值

# dump波形操作
ucli% call {$fsdbDumpfile "./test.fsdb"}
ucli% call {$fsdbDumpvars (0, top_tb.chip)}
ucli% call {$fsdbDumpon}
ucli% run 100ns
ucli% call {$fsdbDumpflush}

2. ncverilog

2.1 ncsim接口

# pin相关操作
ncsim% find -scope top_tb.chip vss # 查找某个pin, 返回chip.vss
ncsim% force top_tb.chip.SYS_CLK 0 -after 0ns 1 -after 10ns -repeat 20ns # force时钟
ncsim% value top_tb.chip.trstn     # 获取某个pin的值
ncsim% deposit top_tb.chip.xx_reg.Q 1'b0 # 给寄存器赋初值

# dump波形操作
ncsim% set fsdbfile "./test.fsdb"
ncsim% call {$fsdbAutoSwitchDumpfile} 5000 $fsdbfile 20}
ncsim% call {$fsdbDumpvars} {0} {top_tb.chip}
ncsim% call {$fsdbDumpon}
ncsim% run 100ns
ncsim% run -absolute 200ns
ncsim% call {$fsdbDumpflush}

2.2 指定某几个inst为blackbox

不需要指定top_tb.chip层次, 在nc的仿真选项中添加:
-ncargs "-bbinst U_A/U_xx -bbinst U_B/U_yy" # 直接指定inst
-ncargs "-bblist ip0.txt -bblist ip1.txt" # 指定通过filelist指定inst

其中ip0.txt, ip1.txt是文本文件, 每行列出一个inst.

3. cell只dump端口信号, 不dump单元内部信号

+fsdb+skip_cell_instance=2

标签:仿真,ucli%,chip,ncverilog,vcs,call,ncsim%,tb,top
来源: https://www.cnblogs.com/gaiqingfeng/p/15343584.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有